1.0.0 • Published 11 months ago

@mchp-mcc/scf-pic8-adc-v2 v1.0.0

Weekly downloads
-
License
SEE LICENSE IN LI...
Repository
-
Last release
11 months ago

Analog-to-Digital Converter (ADC)

Overview

The Analog-to-Digital Converter (ADC) allows conversion of a single-ended and differential analog input signals to a 12-bit binary representation of that signal. The analog inputs are multiplexed into a single Sample-and-Hold circuit. The converter then generates a 12-bit binary result via successive approximation and stores the conversion result into the ADC result registers.

Changelog

All notable changes to this project will be documented in this file.

1.0.0 - 2023-06-08

New Features

  • CC8SCRIP-16260 :- Initial release