3.0.16 • Published 5 months ago

@mchp-mcc/scf-pic8-nco-v1 v3.0.16

Weekly downloads
-
License
SEE LICENSE IN LI...
Repository
-
Last release
5 months ago

NCO - Numerically Controlled Oscillator Module

Overview

The Numerically Controlled Oscillator (NCO) module is a timer that uses overflow from the addition of an increment value to divide the Input Frequency. The advantage of the addition method over a simple counter driven timer is that the Output Frequency resolution does not vary with the divider value.

Generated Files

This driver generates the following files:

  • ./mcc_generated_files/system/src/nco1.c => A driver file containing Register Initialization and API function definition.
  • ./mcc_generated_files/system/nco1.h => A driver file containing the API function prototype.

Changelog

All notable changes to this project will be documented in this file.

3.0.16 - 2023-11-27

Bug Fixes

  • CC8SCRIP-18875 :- Melody Core Compatibility warning fixed for NCO

3.0.15 - 2023-10-09

New Features

  • CC8SCRIP-16970 :- Added APFCON Support

3.0.14 - 2023-07-14

New Features

  • CC8SCRIP-16812 :- Added support for NCO1CLK Pin