1.0.0 • Published 7 months ago

@mchp-mcc/scf-pic8-pwm-v3 v1.0.0

Weekly downloads
-
License
SEE LICENSE IN LI...
Repository
-
Last release
7 months ago

16 Bit Pulse-Width Modulation (PWM) module

Overview

The Pulse-Width Modulation (PWM) module generates a pulse-width modulated signal determined by the phase, duty cycle, period, and offset event counts that are contained in the following registers:

  • PWMxPH register
  • PWMxDC register
  • PWMxPR register
  • PWMxOF register

Changelog

All notable changes to this project will be documented in this file.

1.0.0 - 2023-10-05

New Features

  • CC8SCRIP-17352 :- Initial Release of 16 Bit PWM for PIC16(L)F177x, PIC16(L)F176x and PIC12/16(L)F157x Family devices.