1.0.2 • Published 2 years ago

hd-seedloop v1.0.2

Weekly downloads
-
License
APACHE-2.0
Repository
-
Last release
2 years ago

HD Seedloop

Hd seedloop is a public-private key manager built to support the Kryptik wallet. The seed loop can hold multiple hierarchical deterministic keyrings, allowing a single seed to interact across multiple blokchains. In addition, there can be dedicated keyrings for things like encrypted messaging and file distribution. This arrangement is a crucial step to simplifying key management and providing a clean user experience across key pair functions.